Thiết kế logic số (VLSI design) - Phát biểu tuần tự

Phát biểu thực thi phụ thuộc vào vị trí xuất hiện trong chương trình.

Trong khối quá trình

 Trong chương trình con

Dùng mô tả cho mạch tuần tự

 Dùng mô tả mạch tổ hợp (not recommended)

 Dùng cho các cấu trúc mô phỏng kiểm tra

 

pptx16 trang | Chia sẻ: Mr Hưng | Lượt xem: 652 | Lượt tải: 0download
Nội dung tài liệu Thiết kế logic số (VLSI design) - Phát biểu tuần tự, để tải tài liệu về máy bạn click vào nút DOWNLOAD ở trên
Thiết kế logic số (VLSI design)Bộ môn KT Xung, số, VXLquangkien82@gmail.comhttps://sites.google.com/site/bmvixuly/thiet-ke-logic-so08/2012Nội dung: Phát biểu tuần tựThời lượng: 3 tiết bài giảng2/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comMục đích, nội dungVHDL statements3/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comSequential statementsĐn: Phát biểu thực thi phụ thuộc vào vị trí xuất hiện trong chương trình.Vị trí: Trong khối quá trình Trong chương trình conỨng dụng: Dùng mô tả cho mạch tuần tự Dùng mô tả mạch tổ hợp (not recommended) Dùng cho các cấu trúc mô phỏng kiểm tra4/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comSequential statements1. IF, CASE2. WAIT, ASSERT, REPORT3. LOOP4. Sequential Signal Assignment5/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comPROCESS[label]: Process (sensitive list)Begin-- sequential statementsEnd process [label];SENSITIVE LIST?6/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comIF condition1 THEN sequence-of-statementsELSIF condition2 THEN [sequence-of-statements ] ELSIF condition3 THEN [sequence-of-statements ] ..ELSE [sequence-of-statements END IF;Lệnh IF7/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comIF Example 1-D-FlipFlop8/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comIF Example 2 - Register9/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comIF Example 3 - Simple CounterCounter enable?Kd #= 2N10/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comCASE expression IS WHEN choice1 => [sequence-of-statements] WHEN choice2 => [sequence-of-statements] ... WHEN others => -- optional if all choices covered [sequence-of-statements]END CASE;Lệnh CASE11/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comCASE – Example: Multiplexer12/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comTrắc nghiệmCâu 1: Điểm đặc trưng nhất của câu lệnh tuần tự trong VHDLCâu lệnh được thực thi một cách tuần tự theo thứ tự xuất hiệnLệnh tuần tự được biên dịch thành mã máy giống như câu lệnh của phần mềm.Lệnh tuần tự chỉ xuất hiện trong khối quá trình hoặc chương trình conCâu lệnh tuần tự dùng để mô tả mạch tuần tự13/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comTrắc nghiệmCâu 2: Đặc điểm khác biệt giữa lệnh IF và lệnh CASEKhông có sự khác biệt, hai lệnh này có thể sử dụng thay thế lẫn nhau.Điều kiện trong câu lệnh CASE là điều kiện cùng cấp còn điều kiện trong câu lệnh IF là điều kiện phân cấpC. Lệnh CASE thường dùng cho các khối tổ hợp, còn lệnh IF sử dụng cho khối mạch tuần tự.D. Không có sự khác biệt rõ rệt giữa hai câu lệnh14/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comTrắc nghiệmCâu 3: Cơ chế ảnh hưởng của sensitive list trong khối ProcessGiống như danh sách các tham biến đầu vào của khối thiết kếDanh sách sensitive list kích thích cho quá trình thực hiệnBất kỳ sự thay đổi nào của các đối tượng trong danh sách sensitive list đều làm cho quá trình tương ứng được thực thi. Giá trị của các tín hiệu trong danh sách sensitive list quy định giá trị của các tín hiệu đầu ra của khối quá trình15/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.comTrắc nghiệmCâu 4: Phát biểu nào sau đây không đúngLệnh Loop chỉ có ý nghĩa sử dụng trong mô phỏng thiết kếLệnh IF và lệnh CASE có thể thay thế nhau trong một số trường hợpLệnh WAIT, ASSERT chỉ sử dung cho mục đích mô phỏng kiểm tra thiết kế.Phần tử nhớ chỉ có thể mô tả bằng câu lệnh tuần tự16/16Chương II: Ngôn ngữ VHDL quangkien82@gmail.com

Các file đính kèm theo tài liệu này:

  • pptxlecture2_4_6418.pptx
Tài liệu liên quan