Đề tài Tìm hiểu phần mềm tương tác ISE

ISE - Interative Software Engineering làphầnmềmtương tác cho phépbạn thực hiện

phiếm hàm và định giờ trong mô phỏng cho VHDL(- very-hi gh speed integrated ci rcui t

hardware descri pti on l anguage - ngôn ngữ môtả phầncứngmạchtổhợptốc độ cao) và

Veril og, trộnl ẫn các ngôn ngữ thiếtkế

- Xilinx® ISE Simulator l à ngôn ngữ môtả phầncứng (Hardware Descri pti on Language

- HDL).

Nó mô phỏng khảnăng thực hiện chứcnăng và mô phỏng thời gian cho các thiếtkế VHDL

và Veril og. Chứcnăngcủa Test Bench Waveform Edi tor trong ISE Simulatorcũng chobạn

khảnăng tao ra test benches cho VHDL và Verilog để kiểm tra giá tri cố địnhbằng cáchsử

dụngmột gi ao diện đồhọa.

Khi mở Proj ect Navi gator, cáccửasổ sau đây cósẵn:

ÿ WaveformEdi tor window –cửasổ bi êntập sóng (sửdụng đồ thị )

ÿ Waveform Di splay window –cửasổ hiển thị sóng .

ÿ Hi erarchy Browser window -Cửasổbộ duyệt phâncấp.

ÿ Simul at i on Consol e window -Cửasổ bàn đi ều khiển mô phỏng.

- Môi trườngl ập trì nh ISE nàygồm có những phần chính sau:

ÿ Vhpcomp (VHDL compiler- người biêntập VHDL)

ÿ Vlogcomp (Veril og compiler- người biêntập)

ÿ Fuse –cầu chì (HDL chi ti ết vàkếtnối )

ÿ Simulation Executable – thực hiện mô phỏng

ÿ isimgui - ISim Graphical User Interface (ISimsửdụng giao diện đồhọa)

+ Vhpcomp, vlogcomp :

Phân t í ch, biêntập VHDL, Veril og – nhữngtập tin nguồntương ứng. Mã đốitượng

được phát sinhbởi những người biêntập đượcsửdụngbởi (fuse-cầu chì ) bộkếtnối HDL để

tạo ramộtsự mô phỏng có thể thực hiện.

+ Fuse (cầu chì):

Lệnh fuse-cầu chì là chi ti ết ngôn ngữ (HDL - môtả Phầncứng) vàbộkếtnối được

dùngbởi ISim. những hiệu ứng fuse chi ti ết hóacố định trên thiếtkếtạo ra những đơnvị thiết

kế và sau đó những đơnvị thiếtkế được biêntậptới mã đối tượng. Nhữngtập ti n đối tượng

thiếtkế sau đó được liênkết cùng nhautạo ramộtsự mô phỏng có thể thực hiện.

Fuse có thể liênkết các đơnvị thiếtkế biêndị ch trước đóvới vhpcomp hoặc

vl ogcomp. Ngoài ra, fuse có thểtự độnggọi vlogcomp và vhpcomp chomỗi VHDL hay

Veril og mã nguồn liệt kê trongmộttập tindự án (. prj ). Phương pháp này cho phép biêndịch

nguồn "on-the-fly ".

+ Simulation Executable-Thực thi mô phỏng:

Thực thi mô phỏng đượctạo rabởi lệnh fuse. Để chạy mô phỏngmột thiếtkế trong

ISim,sự mô phỏng đượctạo ra đượccần cósự khởi động. khi ISim chay bên trong giao diện

ISE Proj ect Navigator , ISEl ắmbắtsự kéo theo đểtạo ra mô phỏng.Một người sửdụng

dòngl ệnhcần phải rõ rànggọi mô phỏngtạo ra hiệu ứng mô phỏng. Các hiệu ứng mô phỏng

đi ều khiển sự kiện địnhhướng mô phỏng vàhỗ trợ phong phú cho địnhhướng và thăm dò

mô phỏngbằng cáchsửdụngTcl

pdf31 trang | Chia sẻ: oanh_nt | Lượt xem: 1291 | Lượt tải: 0download
Bạn đang xem trước 20 trang nội dung tài liệu Đề tài Tìm hiểu phần mềm tương tác ISE, để xem tài liệu hoàn chỉnh bạn click vào nút DOWNLOAD ở trên
Tìm hiểu phần mềm tương tác ISE Tìm hiểu phần mềm tương tác ISE 1 Chương I: Tổng quan về mô phỏng ISE (ISim) ISE - Interative Software Engineering là phần mềm tương tác cho phép bạn thực hiện phiếm hàm và định giờ trong mô phỏng cho VHDL(- very-high speed integrated circuit hardware description language - ngôn ngữ mô tả phần cứng mạch tổ hợp tốc độ cao) và Verilog, trộn lẫn các ngôn ngữ thiết kế… - Xilinx® ISE Simulator là ngôn ngữ mô tả phần cứng (Hardware Description Language - HDL). Nó mô phỏng khả năng thực hiện chức năng và mô phỏng thời gian cho các thiết kế VHDL và Verilog. Chức năng của Test Bench Waveform Editor trong ISE Simulator cũng cho bạn khả năng tao ra test benches cho VHDL và Verilog để kiểm tra giá tri cố định bằng cách sử dụng một giao diện đồ họa. Khi mở Project Navigator, các cửa sổ sau đây có sẵn: Ø Waveform Editor window – cửa sổ biên tập sóng (sử dụng đồ thị) Ø Waveform Display window – cửa sổ hiển thị sóng . Ø Hierarchy Browser window - Cửa sổ bộ duyệt phân cấp. Ø Simulation Console window - Cửa sổ bàn điều khiển mô phỏng. - Môi trường lập trình ISE này gồm có những phần chính sau: Ø Vhpcomp (VHDL compiler- người biên tập VHDL) Ø Vlogcomp (Verilog compiler- người biên tập) Ø Fuse – cầu chì (HDL chi tiết và kết nối) Ø Simulation Executable – thực hiện mô phỏng Ø isimgui - ISim Graphical User Interface (ISim sử dụng giao diện đồ họa) + Vhpcomp, vlogcomp : Phân tích, biên tập VHDL, Verilog – những tập tin nguồn tương ứng. Mã đối tượng được phát sinh bởi những người biên tập được sử dụng bởi (fuse- cầu chì) bộ kết nối HDL để tạo ra một sự mô phỏng có thể thực hiện. + Fuse (cầu chì): Lệnh fuse-cầu chì là chi tiết ngôn ngữ (HDL - mô tả Phần cứng) và bộ kết nối được dùng bởi ISim. những hiệu ứng fuse chi tiết hóa cố định trên thiết kế tạo ra những đơn vị thiết kế và sau đó những đơn vị thiết kế được biên tập tới mã đối tượng. Những tập tin đối tượng thiết kế sau đó được liên kết cùng nhau tạo ra một sự mô phỏng có thể thực hiện. Fuse có thể liên kết các đơn vị thiết kế biên dịch trước đó với vhpcomp hoặc vlogcomp. Ngoài ra, fuse có thể tự động gọi vlogcomp và vhpcomp cho mỗi VHDL hay Verilog mã nguồn liệt kê trong một tập tin dự án (. prj). Phương pháp này cho phép biên dịch nguồn "on-the-fly ". + Simulation Executable -Thực thi mô phỏng: Thực thi mô phỏng được tạo ra bởi lệnh fuse. Để chạy mô phỏng một thiết kế trong ISim, sự mô phỏng được tạo ra được cần có sự khởi động. khi ISim chay bên trong giao diện ISE Project Navigator , ISE lắm bắt sự kéo theo để tạo ra mô phỏng. Một người sử dụng dòng lệnh cần phải rõ ràng gọi mô phỏng tạo ra hiệu ứng mô phỏng. Các hiệu ứng mô phỏng điều khiển sự kiện định hướng mô phỏng và hỗ trợ phong phú cho định hướng và thăm dò mô phỏng bằng cách sử dụng Tcl Tìm hiểu phần mềm tương tác ISE 2 Chú ý : Sự Mô phỏng ISE Có thể thực hiện mở rộng một exe trong cả linux lẫn windows. Kiểu đặt tên có dạng mặc định là X.exe + Isimgui.exe isimgui.exe (isimgui on Linux) là hệ giao diện đồ hoạ ISim. Nó bao gồm cửa sổ hiện sóng, những thanh công cụ, những bảng, và thanh trạng thái. Trong cửa sổ chính, bạn có thể thấy rõ những phần mô phỏng thiết kế thêm và xem tín hiệu trong cửa sổ hiện sóng dùng những lệnh ISim để chạy sự mô phỏng, khảo sát thiết kế và sửa lỗi cần thiết I/ Thư viện Mô phỏng: - Các thư viện thiết bị mô phỏng Xilinx® được biên dịch sẵn, và được cập nhật tự động khi các gói dịch vụ được cài đặt. Không cần phải chạy CompXlib để biên dịch các thư viện, hay phải tải xuống cập nhật những thư viện. + CompXlib – compiling Simulation Libraries_ biên dịch các thư viện mô phỏng Xilinx. Nó không dùng với ModelSim XE (Xilinx Edition) hoặc ISE Simulator. Nó chỉ hỗ trợ việc lập thư viện mô phỏng HDL Xilinx ® cho mô phỏng sau: · ModelSim™ SE (all Xilinx® supported platforms) ModelSim ™ SE (tất cả các nền tảng hỗ trợ ® Xilinx) · ModelSim™ PE (all Xilinx® supported platforms) ModelSim ™ PE (tất cả các nền tảng hỗ trợ ® Xilinx) · NCSIM™ (all Xilinx® supported platforms) NCSIM ™ (tất cả các nền tảng hỗ trợ ® Xilinx) · VCS-MX™ (only on Solaris® and Linux based platforms) VCS-MX ™ (chỉ trên Solaris ® và Linux dựa trên nền tảng) · VCS-MXi™ (only on Solaris® and Linux based platforms) VCS-MXi ™ (chỉ trên Solaris ® và Linux dựa trên nền tảng) II/ Những đặc tính và những sự giới hạn của ISE Bảng ở dưới cho ta thấy những đặc tính quan trọng và những sự giới hạn của ISE Đặc tính Hỗ Trợ Language Support – ngôn ngữ hỗ trợ VHDL VHDL-93 Verilog Verilog-2001 SDF SDF3.0 Mixed VHDL/Verilog Yes VHDL FLI No Verilog PLI No Operating System Support – hệ điều hành hỗ trợ Windows 2000 PC – máy tính Win XP Pro 32bit Linux Red Hat Enterprise Linux 3.0 Unix No General – tổng quan Incremental Compilation – tăng biên soạn Yes Source Code Debugging- Sự chỉnh lý mã Yes Tìm hiểu phần mềm tương tác ISE 3 nguồn SDF annotation Yes VCD generation Yes Swift Interface (SmartModels) No III/ Hệ điều hành hỗ trợ: Ba hệ điều hành mà ISE hỗ trợ: ü Microsoft Windows® ü Red Hat® ü Linux, và SUSE Linux Tìm hiểu phần mềm tương tác ISE 4 IV/ Các họ kiến trúc: ISE 11 hỗ trợ ba họ kiến trúc: Virtex®, Spartan® và CPLD. Tìm hiểu phần mềm tương tác ISE 5 Chương II: Hướng dẫn sử dụng ISE 11 I/ Giao diện Project Navigator: - Được chia ra làm 4 cửa sổ chính như hinh dưới 1.Cửa sổ Sources: (Sources Window) - Hiển thị tên Project, bảng nguồn và những tài liệu được sử dụng và những tập tin nguồn thiết kế liên quan đến thiết kế được lựa chọn. 2. cửa sổ xử lý: (Processes Window) § Add an Existing Source - Thêm một Nguồn Hiện hữu § Create New Source – Tạo nguồn mới § View Design Summary – Tóm lược thiết kế § Design Entry Utilities –Tiện ích thiết kế § User Constraints § Synthesis – Tổng hợp § Implement Design – Thực hiện thiết kế Tìm hiểu phần mềm tương tác ISE 6 § Generate Programming File – Tạo file lập trình. 3. cửa sổ trạng thái: (Transcript Window ) Gồm 5 tab mặc định: Console, Errors, Warnings, Tcl Console, Find in Files. · Console – Bàn diều khiển: hiển thị lỗi, cảnh báo và thông báo thông tin. Lỗi là dòng thông báo màu đỏ (X) bên cạnh thông báo, trong khi những cảnh báo dánh dấu (!) màu vàng. · Warnings – chỉ hiển thị cảnh báo. Những thông báo khác được lọc ra từ Console. · Errors – chỉ hiển thị lỗi. Những thông báo khác được lọc ra từ Console. · Tcl Console – bàn điều khiển Tcl, Là một bàn điều khiển tương tác với người sử dụng. Trong việc thêm trình bày những lỗi, cảnh báo và thông tin. Bàn điều khiển Tcl cho phép một người sử dụng gõ vào những lệnh Tcl đặc biệt. · Find in Files – hiển thị kết quả trong chức năng tìm kiếm Edit > Find in Files. II/ Tạo một Project trong ISE Project Navigator Thực hiện theo các bước sau để tạo một Project ISE bằng cách sử dụng New Project Wizard. B1. khởi động ISE Project Navigator bằng cách nhấp đúp vào biểu tượng như hình 1: B2. Nhấp vào nút New Project để khởi chạy New Project Wizard. Hoặc vào file -> new Project. Hình 2 Hình 1 Nhấp vào đây! Hình 2 Tìm hiểu phần mềm tương tác ISE 7 B3. Cung cấp một tên và vị trí lưu thích hợp cho project Hình 3: Nhập tên vào đây Tạo đường dẫn Hình 3 B4.Click next để tiếp tục và chọn thiết bị và ngôn ngữ như Hình 4 Tìm hiểu phần mềm tương tác ISE 8 . B7. Click Next để tiếp tục và nhấn nút New source để tạo source rồi click next như Hình 5 Hình 4 Tìm hiểu phần mềm tương tác ISE 9 B8. Chọn kiểu Source. Ở đây chọn verilog Module và đặt tên cho module. Click next Hình 6 B9. Nhập tên cho input và output, Click next như Hình 7 Hình 7 Hình 6 Hình 7 Tìm hiểu phần mềm tương tác ISE 10 B10. Kiểm tra lại và click Finish. Hình 8 B11. Tiếp tục Click next Hình 9 Hình 8 Hình 9 Tìm hiểu phần mềm tương tác ISE 11 B12. Kiểm tra lại các đặc tính của Project vừa tạo. Click Finish B13. Cửa sổ làm việc của ISE. 3 1 2 4 Hình 10 Hình 11 Tìm hiểu phần mềm tương tác ISE 12 1. Toolbar – thanh công cụ 2. Design panel - Bảng Thiết kế 3.Workspace - Không gian làm việc 4.Transcript window – cửa sổ trạng thái B14.Sau khi hoàn thành các bước tạo một Project mới, trong cửa sổ làm viêc ta viết thêm code để hoàn thành một module. B15. Save và kiểm tra lỗi: Click chọn Synthesize để kiểm tra lỗi Hình 13 Hình 12 Tìm hiểu phần mềm tương tác ISE 13 III/ Tạo test bench: B1.Chọn Project/new Source B2.Chọn Verilog Test Fixture Hình 14 Hình 15 Tìm hiểu phần mềm tương tác ISE 14 B4.Đường dẫn và tên test bench được hiển thị. Click Finish B3. Test bench viết cho my_and như Hình16 Click next Hình 16 Hình 17 Tìm hiểu phần mềm tương tác ISE 15 B5. Thêm code để hoàn thành một test bench Hình 18 Hình 18 B6.Chọn Create Timing Constraints Hình 19 Tìm hiểu phần mềm tương tác ISE 16 Click Yes Click OK Click double Hình 20 Tìm hiểu phần mềm tương tác ISE 17 B7. chọn add-all như Hình 21 rồi click OK B8. Save và chọn lại tab Design Add - all Hình 21 Tìm hiểu phần mềm tương tác ISE 18 B9. Trong Source For chọn Behaviroral Simulation rồi chọn Behavioral Check Syntax. Hình 23 B10. Sau đó ta chọn Simulate Behavioral Model để mở cửa sổ hiện sóng. Hình 23 Hình 23 Hình 24 Tìm hiểu phần mềm tương tác ISE 19 B11. Trong cửa sổ hiện song ta có thể thay đổi màu của sóng hiển thị bằng cách nhấp phải chuột vào I/O muốn đổi màu rồi chọn Signal color. Trong ISE con hỗ trợ cho mình chọn chân cho con linh kiện mình vừa thiết kế nhưng do thời gian co hạn nên nhóm không trình bày ở đây. - Để tạo một Source mới hay add thêm Source ta có thể vào Project /chon ứng dụng mình cần. vd bước 1 trong tạo test bench. IV/Tạo new Schematic - thiết kế mạch add_half dùng cổng logic: B1 đến B7 làm giống các bước tương ứng như trong phần tạo New Project. B8. Chọn kiểu Source. Ở đây chọn Shematic và đặt tên cho module là add_half. Click next Hình 26 Hình 25 Tìm hiểu phần mềm tương tác ISE 20 B9. Tương tự B10 trên phần tạo New Project. Làm tương tự B11, B12 trong tạo New Project. Sau các bước trên ta được như Hình 27 Hình 26 Tìm hiểu phần mềm tương tác ISE 21 B10. Ở đây tạo mạch cộng nửa nên cân I cổng and và một cổng xor. Nhập tên cổng logic cần lấy vào ô Symbol Name Fiter Hình 27 Tìm hiểu phần mềm tương tác ISE 22 B11. Lấy cổng xor2 B12. Nhấp chọn công cụ vẽ dây và nối. Hình 28 Chọn con trỏ Chọn một vùng Công cụ vẽ dây Đặt tên cho đường đây và I/O I/O Chọn linh kiện Tìm hiểu phần mềm tương tác ISE 23 B13. Sau khi nối dây chọn I/O để vẽ input và output. Như Hình 29 Hình 28 Hình 29 Tìm hiểu phần mềm tương tác ISE 24 B14.chọn công cụ đăt tên rùi click double vào các chân input và output để đăt lại tên. B14. Sau khi đặt tên cho input ca output được như Hình 31 Hình 30 Hình 31 Tìm hiểu phần mềm tương tác ISE 25 B15. Save và chọn tab Design rồi chọn Synthesize – XST để kiểm tra lỗi . B16. Tạo test bench tương tự như phần tạo test bench ở trên phần II. Hình 32 Hình 33 Tìm hiểu phần mềm tương tác ISE 26 B17. Sau khi hoàn thành các bước tạo test bench ta thêm code như Hình 34 ở dưới. B18. Trong tab Source for chọn Behavioral Simulation rồi chọn Behavioral Check Syntax. Hình 34 Hình 34 Tìm hiểu phần mềm tương tác ISE 27 B19.Chọn Simulate Behavioral Model. Cửa sổ ISim hiên ra như Hình 35 Mỗi khoảng 100 ms thì giá trị input thay đổi. Do ở đây thiết kế mạch cộng nửa nên có bảng trạng thái sau. Ta thấy bài thiết kế mạch add_half như trên cho kết quả đúng. Sau khi kiểm tra thiết kế xong ta có thể tạo add_half để sau này sử dụng. V/ tạo cổng add_half B1. Trở lại cửa sổ Project Navigator. Trong tab Source for chọn implementation Trong Processes: add_half ta sổ Design Utilities xuống rồi chọn Create Schematic Symbol như Hình 36 A B S(sum) C(nhớ) 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 Hình 35 Tìm hiểu phần mềm tương tác ISE 28 B2. Sau khi thực hiện bước 1 ta có thể lấy add_half ra dùng: Trong của sổ Project Navigator chọn tab Symbol => nhập tên add_half vào Symbol Name Fiter. Cổng add_half vừa tạo đươc lưu trong folder chứa Project add_half. Như Hình 37 Hình 36 Tìm hiểu phần mềm tương tác ISE 29 Tìm hiểu phần mềm tương tác ISE 30 Mục Lục Chương I: Tổng quan về mô phỏng ISE (ISim) ............................................. 1 I/ Thư viện Mô phỏng ............................................................2 II/ Những đặc tính và những sự giới hạn của ISE .................2 III/ Hệ điều hành hỗ trợ .........................................................3 IV/ Các họ kiến trúc ..........................................................4 Chương II: Hướng dẫn sử dụng ISE 11 ......................................................... 5 I/ Giao diện Project Navigator .................................................. 5 II/ Tạo một Project trong ISE Project Navigator..................6 III/ Tạo test bench ................................................................13 IV/Tạo new Schematic - thiết kế mạch add_half dùng cổng logic .................................................................................................19 V/ tạo cổng add_half ............................................................27

Các file đính kèm theo tài liệu này:

  • pdfise_interative_software_engineering_3832.pdf
Tài liệu liên quan